【專欄】台積電、三星、英特爾,半導體三雄先進製程爭霸戰,EUV掌握度成勝出關鍵
【專欄】台積電、三星、英特爾,半導體三雄先進製程爭霸戰,EUV掌握度成勝出關鍵

半導體先進製程投資龐大,1座月產能2萬片的晶圓廠,投資金額超過百億美金。

除了龐大資本支出的障礙外,技術能力更是一道難於跨越的障礙,必須累積多年經營晶圓廠生產的經驗,一步一腳印,方能跨過鴻溝。彎道超車,一蹴可及,現實上是難以實現。

目前全球有能力生產10奈米以下製程的半導體公司只有台積電、三星電子及英特爾三大公司。這三大半導體公司都有超過30年的半導體生產以及開發新製程的經驗,是行業中的佼佼者。

以2021年的營業額來看,三星電子半導體營業額為788億美元,英特爾營業額為747億美元(不計NAND營業額),台積電的營業額達568.2億美元,分居全球前三大。

從資本支出來看,預估2022年這三家公司的資本支出,三星電子晶圓代工部分約120億美元,英特爾約280億美元,台積電約420億美元。台積電的資本支出領先英特爾及三星電子,顯現台積電積極建立5奈米、3奈米產能,而且進度領先。

從先進製程的量產進度來看,目前台積電處於領先地位,台積電的7奈米、5奈米系列先進製程,不僅最早量產,而且良率、性能優越,因此訂單持續增加,台積電7奈米、5奈米系列的產能,全球第一。

台積電
圖/ 台積電

台積電預定今年下半年,將開始量產3奈米製程,目前已有蘋果公司、英特爾預定產能,他們將是台積電3奈米製程的首批客戶。台積電3奈米製程沿用「鰭式場效電晶體」(FinFET) 結構,沒有採用「全環繞閘極」(GAA)結構。

半導體製程從14/16奈米起,原本平面的「場效電晶體」(FET)結構,在技術上已無法使用。胡正明博士及其同事發明FinFET結構,將原本平面的FET結構,改成立體的FinFET結構,讓半導體製程可延續微縮,從14/16奈米、10奈米、7奈米,一路往下到5奈米。

進入3奈米,FinFET結構面臨較多的障礙,三星電子「大膽」採用GAA結構,而台積電則持續使用FinFET結構。不過台積電也有創新,在3奈米製程推出FinFlex技術,將3奈米製程的效能、功耗效率及密度等進一步提升。FinFlex讓IC設計工程師在設計IC時,有很大的彈性,藉由不同「鰭」數的組合,可以有高效能、低功耗、高密度等,不同的區塊,設計在同一顆IC內。

三星電子宣稱今年上半年將開始量產採用GAA結構的3奈米製程,三星今年的3奈米製程為第一代GAA(GAE (GAA Early)),2023年方會推出第二代GAA (GAA Plus) 3奈米製程,因此三星電子3奈米的實際量產時程應落在2023年。

三星電子力圖在3奈米超越台積電,盡管號稱上半年量產,不過三星電子似乎還沒有外部客戶下單,客戶們可能觀望三星電子的3奈米進入「真正」量產後,性能的表現再決定是否下單。高通、超微、輝達、聯發科等,皆已預定在台積電3奈米製程投片。

英特爾製程技術目前較台積電、三星電子落後,目前Intel 7(原10奈米)已進入量產,下一代的Intel 4(原7奈米),預計於今年下半年量產。

Intel 4(相當於台積電4奈米)是英特爾首度使用EUV(極紫外光)微影機的製程,也是英特爾在先進製程延宕多年後,終於奮力趕上,加入先進製程的第一梯隊。

intelligent manufacturing
圖/ unsplash

繼Intel 4後,英特爾宣稱將於2023年開始量產Intel 3 (相當於台積電3奈米),如果能實現,則僅稍落後於台積電的3奈米量產進度。

2024年上半年英特爾將量產Intel 20A (相當於台積電2奈米),這已經超越台積電的進度(台積電預定2025年量產2奈米製程)。尤有甚者,英特爾預定於2024年下半年量產Intel 18A,同一年推出兩代新製程,英特爾真是「厲害」。

從今年下半年算起,到2024年年底,短短2年半間,英特爾將推出Intel 4、Intel 3、Intel 20A、Intel 18A 4個新製程技術節點,這是何其艱難的任務,實現的難度很高。

英特爾今年下半年才開始在量產製程中使用EUV,英特爾使用EUV的經驗恐怕無法與台積電、三星電子比擬。英特爾執行長,一再強調英特爾將率先取得「高數值孔徑」(High NA) EUV,因此可以在先進製程節點的開發領先競爭者。

這好比是取得「倚天劍」「屠龍刀」後就可「號令天下」,然而若沒有一身「傲人的武功」光靠「神兵利器」是無法成為「武林第一人」。

「工欲善必先利其器」,微影設備是半導體重要的設備,晶片的電子線路皆必須使用微影設備。EUV微影設備是進入7奈米以下的製程節點,不可或缺的設備。EUV試生產的重要設備,EUV設備數量的多寡,攸關產能大小,EUV設備愈多,代表產能愈大。

目前台積電擁有全球最多的EUV,預估到今年底共有84台。三星電子急起直追,副會長李在鎔二度拜訪EUV供應商艾斯摩爾(ASML),希望能取得更多的EUV,預估到今年底可望共有51台EUV,不過部分EUV必須供DRAM廠使用。

英特爾是EUV的後進,雖然即起直追,到今年底EUV只有約有20台左右,因此開出的先進產能恐不敷應用。

ASML是全球EUV唯一的供應商。ASML於1984年,由荷蘭「飛利浦」與「ASMI」合資創立,因此與台積電有「裙帶關係」。「飛利浦」是台積電的創始大股東,同時也是技術提供者,因此台積電採購微影曝光設備時,皆會對ASML「特別照顧」。

2004年以前,ASML在微影曝光設備的市占率,落在尼康(Nikon)、佳能(Canon)之後。2002年是ASML的轉捩點,這一年,半導體製程進展到65奈米,往下發展時遇到瓶頸。193奈米波長的「深紫外光微影機」(DUV)已無法擔當製程節點往下發展的任務,當時主流的解決方案是發展157奈米波長的微影機,業界投資10億美元研發此設備。

2002年,台積電的林本堅博士在「國際微影討論會」提出「浸潤式微影技術」概念,將鏡頭浸潤在水中,利用水的折射,可將193奈米深紫外光,縮短到134奈米。當時只有ASML被林博士說服,與台積電共同開發浸潤式微影機,並於2003年年底推出原型機。

ASML掌握浸潤式微影設備先機,50奈米以下的製程節點皆必須使用浸潤式微影設備,ASML終於取得「微影設備」龍頭供應商的地位。台積電與ASML的深厚關係,與歷史淵源,使台積電能夠獲得ASML的全力支援。

2012年,ASML為了發展EUV及18吋晶圓的微影設備,邀請半導體公司投資,以籌措開發資金。英特爾、台積電及三星電子決定投資ASML。

英特爾投資25.09億歐元,取得ASML15%股權,同時承諾未來5年共投入2.76億歐元支持ASML研發計畫。

台積電投資8.38億歐元,取得ASML 5%股權,同時承諾未來5年共投入2.76億歐元支持ASML研發計畫。

三星電子投資5.03億歐元,取得ASML 3%股權,同時承諾未來5年共投入2.76億歐元支持ASML研發計畫。

在這三大半導體公司的支援下,ASML得以開發出EUV微影設備。不過ASML也沒虧待這三大公司,在ASML股價大漲之際,三大公司大量出脫持股,投資得到回報,同時也與ASML結下深厚的情誼。

台積電與ASML除了歷史淵源的情誼外,台積電也是ASML開發新設備的合作夥伴。從EUV機台的原型機、測試機等,都需要台積電試用,提供改良意見,沒有台積電的幫忙,ASML無法單獨完成EUV機器的開發。台積電是ASML的夥伴及客戶,兩者深厚的情誼是台積電很自然地可以得到ASML優先供應EUV的禮遇。

台積電預計於2024年取得ASML「高數值孔徑」EUV,用於開發客戶所需相關基礎設施,為進入2奈米製程鋪路。

艾司摩爾 ASML EUV全球技術培訓中心
圖/ 簡永昌攝影

台積電預計於2025年量產2奈米製程節點,採用GAA結構,此節點有兩項創[新,一為「奈米片電晶體」 (Nanosheet transistor),另一項為「背電軌」 (backside power rail)。

台積電2奈米N2製程節點,與3奈米N3E比較,在相同能耗下,N2比N3E快15%。在相同速度下,N2比N3E節省25-30%能耗。晶片密度N2為N3E的1.1倍以上。

7奈米、5奈米這兩個製程節點,台積電領先三星電子及英特爾,台積電囊括大部分的訂單。三星電子主要的客戶為高通及輝達,近來由於良率、性能問題,高通、輝達將下一代新產品轉向台積電下單。

3奈米是三星電子向台積電挑戰的「擂台」,早在2020年三星電子即宣稱將於2022年上半年量產3奈米GAE,今年初再次強調3奈米製程可於上半年量產,超越台積電。最新的消息是三星電子將於6月底前宣布開始量產3奈米製程,「一舉」超越台積電,成為先進製程的領先者。

三星電子「執著」在台積電之前開始量產3奈米晶圓,然而卻未傳出有外部客戶下單,初期的代工客戶為三星電子的系統IC部門。先前傳出三星電子3奈米製程良率僅10-20%左右,近期可能尚無法大幅改善。為了趕進度,只要良率有提升,就可宣布量產。三星電子這種「量產」,可能與台積電的「風險試產」階段相當。反正客戶是自家人,將之稱為「量產」又何妨。

三星電子的企圖心不容小覷,盡管現階段尚落後台積電一大段,然而三星電子資源豐碩,傾全力發展晶圓代工事業後勁十足,台積電決不可掉以輕心。

不過盡管三星電子再厲害,有一項鴻溝它無法跨越。三星電子不僅矢志在2030年超越台積電成為晶圓代工的一哥,同時它也立下成為系統IC的龍頭供應商,這會成為他與客戶競爭的局面。

英特爾先進製程的代工,最快從明年開始量產Intel 3開始,不過由於產能尚未開出,最可能的是等2024年亞利桑那州的Fab 52、Fab 62,產能開出後,也順利量產Intel 20A後,英特爾方能大舉進入晶圓代工市場。英特爾宣稱高通、輝達、IBM等重量級客戶承諾在英特爾下單。

英特爾必須克服的問題是Intel 20A,能否如期量產,個人的看法是如期量產的難度很高,而且良率可能不佳。

英特爾進入晶圓代工最大的障礙是成本很高,競爭力不足。其次是不熟悉晶圓代工運作模式,在生產排程、客戶服務方面,恐無法像台積電般那麼到位。最後為與客戶競爭問題,英特爾的產品有可能與晶圓代工客戶的產品競爭。

台積電、三星電子、英特爾三大半導體公司在晶圓代工市場的競逐,大家都投入大量的資源,鹿死誰手雖然尚在未定之天,不過台積電繼續蟬聯霸主的機會應是較大。

《數位時代》長期徵稿,針對時事科技議題,需要您的獨特觀點,歡迎各類專業人士來稿一起交流。投稿請寄edit@bnext.com.tw,文長至少800字,請附上個人100字內簡介,文章若採用將經編輯潤飾,如需改標會與您討論。

(觀點文章呈現多元意見,不代表《數位時代》的立場)

責任編輯:傅珮晴、吳秀樺

本網站內容未經允許,不得轉載。

登入數位時代會員

開啟專屬自己的主題內容,

每日推播重點文章

閱讀會員專屬文章

請先登入數位時代會員

開啟收藏文章功能,

請先登入數位時代會員

開啟訂閱文章分類功能,

請先登入數位時代會員

我還不是會員, 註冊去!
追蹤我們
台日半導體新局 全解讀
© 2024 Business Next Media Corp. All Rights Reserved. 本網站內容未經允許,不得轉載。
106 台北市大安區光復南路102號9樓